Deutsch | English

Home | Products | Company | Contact

Imprint | Privacy policy

Home
Products
IASopen
IASopen Modules
Configurations
Type declarations
Program organization...
Data bases
Data loggings
Alarm loggings
Message loggings
Recipes
Visualizations
Reports
User managements
Language managements
Version informations
Build 850 - Build 800
Build 800 - Build 750
Build 750 - Build 700
Build 700 - Build 650
Build 650 - Build 600
Build 600 - Build 550
Build 550 - Build 500
Build 500 - Build 450
Build 450 - Build 400
Download
Hardware requirements
Software requirements
Scope of delivery
Training
Project engineering
Company
Contact
Imprint
Privacy policy

 

Type declarations

General

With the module type declarations can be declared your own data types, primarily structures and fields (arrays).

It supports all data types of IEC 61131-3 (including 64-bit types like LREAL and LINT).

Advanced type declarations can be created by the type of the ENUM, STRUCT and ARRAY, where the dimensions of the arrays are not limited. ARRAY OF STRUCTs and ARRAYs within STRUCTS are possible.

There is no limit to the number of type declarations.

The input of type declarations is done using a text editor with syntax coloring and multilevel undo/redo:

 

 

 

 
 
 

Diener automation GmbH & Co. KG
Kapellenweg 21
D-51580 Reichshof

Phone
+49 (0)2265 / 99745-0
Fax
+49 (0)2265 / 99745-20

E-Mail
info@diener-automation.de

To top